Näytä kaikki

Katso englanninkielisestä versiosta virallisena versiona.Palata

France(Français) Germany(Deutsch) Italy(Italia) Russian(русский) Poland(polski) Czech(Čeština) Luxembourg(Lëtzebuergesch) Netherlands(Nederland) Iceland(íslenska) Hungarian(Magyarország) Spain(español) Portugal(Português) Turkey(Türk dili) Bulgaria(Български език) Ukraine(Україна) Greece(Ελλάδα) Israel(עִבְרִית) Sweden(Svenska) Finland(Svenska) Finland(Suomi) Romania(românesc) Moldova(românesc) Slovakia(Slovenská) Denmark(Dansk) Slovenia(Slovenija) Slovenia(Hrvatska) Croatia(Hrvatska) Serbia(Hrvatska) Montenegro(Hrvatska) Bosnia and Herzegovina(Hrvatska) Lithuania(lietuvių) Spain(Português) Switzerland(Deutsch) United Kingdom(English) Japan(日本語) Korea(한국의) Thailand(ภาษาไทย) Malaysia(Melayu) Singapore(Melayu) Vietnam(Tiếng Việt) Philippines(Pilipino) United Arab Emirates(العربية) Iran(فارسی) Tajikistan(فارسی) India(हिंदी) Madagascar(malaɡasʲ) New Zealand(Maori) Brazil(Português) Angola(Português) Mozambique(Português) United States(English) Canada(English) Haiti(Ayiti) Mexico(español)
KotiblogiRengaslaskurien löytäminen: Perusteellinen opas niiden toiminnallisuuteen, luokitteluun ja käyttötarkoituksiin
18.09.2024

Rengaslaskurien löytäminen: Perusteellinen opas niiden toiminnallisuuteen, luokitteluun ja käyttötarkoituksiin

Rengaslaskuri on digitaalinen piiri, joka koostuu suljetussa silmukassa kytkettyistä läpimuruista, mikä mahdollistaa digitaalisissa järjestelmissä käytetyt peräkkäiset ja sykliset toiminnot.Tässä artikkelissa tarkastellaan rengaslaskureita, jotka alkavat niiden perustoiminnasta monimutkaisempiin muotoihin, kuten 4-bittisiin ja 8-bittisiin versioihin, yksityiskohtaisesti niiden alustaminen, mekaniikka ja käyttö.

Luettelo

1. rengaslaskurien perusteet
2. 8-bittisen rengaslaskurin dekoodaaminen
3. 4-bittisen rengaslaskurin käyttäminen
4. Renkaan laskurien totuustaulukon purkaminen
5. Rengaslaskurin suunnittelu Verilog HDL: ssä
6. Erityyppiset rengaslaskurit
7. Rengaslaskurien vertaaminen Johnson -laskureilla
8. Rengaslaskurien käyttämien etujen ja haittojen arviointi
9. Moderni elektroniikan rengaslaskurien monipuolinen sovellus
10. Johtopäätös

Ring Counter

Kuva 1: Rengaslaskuri

Rengaslaskurien perusteet

Rengaslaskuri on erityinen vaihtosirekisteri, joka on suunniteltu suljetun silmukan muodossa, jossa viimeisen flip-flopin lähtö lähetetään takaisin ensimmäiseen.Tämä silmukkajärjestely erottaa sen tavallisista siirtymärekistereistä, joissa datavirta pysähtyy lopullisen flip-flopin jälkeen.Rengaslaskurin toiminta pyörii joukon flip-flops.Tilien lukumäärä, jota laskuri voi pitää suoraan, riippuu siitä, kuinka monta flip-floppia käytetään piirissä.Esimerkiksi 4-bittinen rengaslaskuri sisältää neljä flip-floppia.Käytännössä jokainen flip-flop seuraa erityistä sekvenssiä, jolloin rengaslaskuri voi käsitellä merkittäviä tehtäviä, kuten ajoitus ja sekvensointi digitaalisissa järjestelmissä.

Tyypillisessä rengaslaskennassa kellopulssi (CLK) hallitsee kaikkien flip-flops-toimintaa samanaikaisesti, mikä tekee siitä synkronisen järjestelmän.Jokaisessa flip-flopissa on myös kaksi erityistä tuloa-preset (PR) ja selkeä (CLR)-jotka ovat etusijalla muihin tuloihin nähden.Kun esiasetettu tulo vastaanottaa alhaisen signaalin, se pakottaa flip-flopin lähdön korkeaksi.Samoin, kun selkeä syöttö vastaanottaa alhaisen signaalin, se nollaa Flip-Flopin lähdön alhaiseen.Nämä esiasetetut ja tyhjentävät komennot varmistavat, että lähdöt pysyvät vakina ja muut tulot tai kellonsignaalit eivät vaikuta.

8-bit Ring Counter

Kuva 2: 8-bittinen rengaslaskuri

8-bittisen rengaslaskurin dekoodaus

8-bittinen rengaslaskuri on digitaalinen piiri, joka koostuu kahdeksasta D-tyyppisestä flip-flopsista, jotka on järjestetty jatkuvaan silmukkaan.Kahdeksannen flip-flopin lähtö syötetään takaisin ensimmäisen tuloon, mikä luo katkeamattoman syklin.Tämä suljetun silmukan malli antaa laskurille mahdollisuuden astua erillisten tilojen sarjaan, ja jokainen tila vastaa yhtä flip-flopsista aktiivisesti.8-bittinen kokoonpano pystyy käsittelemään yhteensä kahdeksan ainutlaatuista tilaa, mikä lisää laskurin monimutkaisuutta pienempiin kokoonpanoihin verrattuna.

8-bittisen rengaslaskurin toiminta alkaa asettamalla ensimmäinen läppäKellosignaali levitetään sitten tasaisesti kaikkiin flip-floppeihin varmistaen, että tilansiirtymät tapahtuvat samanaikaisesti koko piirissä.Kellopulssina aktiivinen tila siirtyy Flip-Flopista toiseen ennustettavissa olevassa syklissä.Tämä peräkkäinen kytkentä jatkuu, kunnes viimeinen flip-flop siirtää lähtöä takaisin ensimmäiseen, täydentäen silmukan.

4-bit Ring Counter

Kuva 3: 4-bittinen rengaslaskuri

4-bittisen rengaslaskurin käyttäminen

4-bittisen rengaslaskurin käyttämiseksi se alustetaan tyypillisesti aloitustilalla '0001'.Tässä asennuksessa ensimmäinen flip-flop (FF0) asetetaan tuottamaan '1', kun taas kolme muuta flip-floppia (FF1, FF2 ja FF3) puhdistetaan arvoon '0'.Tämä alkukonfiguraatio varmistaa, että vain yhdellä flip-flopilla on '1' -tila, joka kiertää sitten loput flip-flopsin läpi jokaisen kellosyklin kanssa.

Kellopulssina '1' siirtyy FF0: sta FF1: een, sitten FF2: ksi, FF3: een ja lopulta takaisin FF0: een luomalla toistuvan silmukan.Tämä eteneminen jatkuu, kun jokainen flip-flop vuorotellen pitäen '1' -tilaa, kun taas muut pysyvät '0'.Tämä tilamuutosmalli muodostaa rengaslaskurin perustoiminnan, joka varmistaa ennustettavan sekvenssin, kun se sykli kaikkien neljän flip-flopsin läpi.

Renkaan laskurin käyttäytymisen ymmärtämiseksi paremmin aaltomuodon simulaatiot käyttämällä työkaluja, kuten Verilog HDL, kuten Xilinx -alustoilla, voi olla hyödyllistä.Nämä simulaatiot tuottavat graafisen esityksen laskurin tilansiirtymistä, jolloin voit nähdä, kuinka '1' siirtyy flip-flopista toiseen jokaisen kellopulssin kanssa.Esimerkiksi yhden kellosyklin aikana '1' siirtyy FF0: sta FF1: een, ja seuraavassa syklissä se siirtyy FF2: een jatkuen, kunnes se palaa FF0: een saavutettuaan FF3: n.Nämä visuaaliset työkalut eivät ole vain hyödyllisiä peräkkäisten muutosten seurannassa, vaan myös suunnittelun ajoituksen ja siirtymien tarkkuuden vahvistamisessa.Ne tarjoavat selkeän kuvan siitä, kuinka rengaslaskuri toimii, mikä sopii todentamaan, että laite toimii oikein reaalimaailman sovelluksissa.

Renkaan laskurien totuustaulukon purkaminen

Totuustaulukko on vakava työkalu, jota käytetään rengaslaskurin syöttö- ja lähtötilojen kartoittamiseen, mikä antaa selkeän yleiskuvan laskurin toiminnasta digitaalisissa piireissä.4-bittisen rengaslaskurin kohdalla taulukko näyttää kuinka '1' -tila liikkuu jokaisen flip-flop-lähtö (Q0, Q1, Q2, Q3) toistuvassa syklissä.Tulot, kuten ohitseva tulo (ORI) ja kellopulssi (CLK), on myös lueteltu osoittamaan, kuinka ne vaikuttavat valtionsiirtymiin.Tämä taulukko vangitsee laskurin syklisen käyttäytymisen, jossa '1' etenee yhdestä flip-flopista toiseen ja lopulta silmukkaa takaisin lähtöpisteeseen.

Jokaisessa kellosyklissä '1' siirtyy lähdöstä toiseen, siirtymällä Q0: ​​sta Q1: ään, Q1 - Q2, Q2 - Q3 ja lopulta takaisin Q0: een.Tämä peräkkäinen liike on ydin siitä, kuinka rengaslaskuri toimii, ja se tukee suoraan toistuviin, ennustettavissa oleviin sekvensseihin perustuvien järjestelmien tarpeita.Laitteet, kuten digitaaliset kellot, kierto -anturit ja sijaintikooderit, hyötyvät kaikista tästä syklisestä toiminnasta, jossa käytetään tarkkuutta ja ajoitusta.

Verilog HDL Program for Ring Counter

Kuva 4: Verilog HDL -ohjelma rengaslaskurille

Rengaslaskurin suunnittelu Verilog HDL: ssä

Seuraava Verilog HDL -ohjelma on suunniteltu mallintamaan rengaslaskurin käyttäytymistä modulaarisella lähestymistavalla.Jokainen koodin moduuli vastaa rengaslaskurin läppää, kun lähtö yhdestä moduulista syötetään suoraan seuraavan tuloon.Tätä liitäntäketjua ohjataan nousevilla reunakellopulsseilla, jotka synkronoivat valtionsiirtymät kaikkien flip-flopsien välillä varmistaen, että järjestelmä toimii koordinoitua tavalla.

Erityyppiset rengaslaskurit

Rengaslaskurit ovat kahta päätyyppiä, jokaisella on ainutlaatuiset toimintaominaisuudet: Suora rengaslaskuri ja kierretty rengaslaskuri.Molemmat palvelevat erilaisia ​​tarkoituksia digitaalisen järjestelmän tarpeista riippuen.

Straight Ring Counter (One-hot Counter)

Kuva 5: Suora rengaslaskuri (yksi-kuuma laskuri)

Suora rengaslaskuri, jota usein kutsutaan "yhden kuumin" laskuriksi, toimii ohittamalla yhden '1' sarjan flip-flops-sarjan läpi silmukassa.Jokaisen kellopulssin ollessa '1' siirtyy seuraavaan flip-flopiin, kun taas kaikki muut flip-flops pysyvät kohdassa '0'.Tämä yksinkertainen, syklinen muotoilu on ihanteellinen sovelluksille, jotka vaativat vain yhden aktiivisen tilan kerrallaan, kuten perussekvenssigeneraattorit tai siirtorekisterit.Suoran renkaan laskurin suoraviivainen luonne varmistaa helppokäyttöisyyden ja luotettavuuden järjestelmissä, joissa tarvitaan yksinkertainen toistokuvio.

Twisted Ring Counter (Johnson Counter)

Kuva 6: Kierretty rengaslaskuri (Johnson Counter)

Kierretty rengaslaskuri, joka tunnetaan myös nimellä Johnson -laskuri, lisää merkittävän muutoksen perussuunnitteluun.Tässä versiossa viimeisen flip-flopin lähtö kääntyy käännetään ennen kuin se syötetään takaisin ensimmäisen flip-flopin tuloon.Tämä inversio luo sekvenssin, jossa sarjaa sarjaa seuraa sarja nollia, mikä kaksinkertaistaa tehokkaasti erillisten tilojen lukumäärän verrattuna suoran renkaan laskurin kanssa.Seurauksena on, että Johnson -laskuri pystyy käsittelemään monimutkaisempia tehtäviä, mikä tekee siitä paremman valinnan sovelluksille, jotka vaativat laajemman valikoiman valtioita, kuten digitaalisten sijaintikoodereita tai edistyneempiä sekvensointitoimenpiteitä.

Renkaanlaskurien vertaaminen Johnson -laskuriin

Suurin ero rengaslaskurin ja Johnson -laskurin välillä on siinä, kuinka ne hoitavat palautteen silmukan, mikä vaikuttaa valtioiden lukumäärään ja kunkin laskurin kokonaiskäyttäytymiseen.

Rengaslaskuri: Rengaslaskuri viimeisen flip-flopin lähtö syötetään suoraan takaisin ensimmäisen flip-flopin tuloon ilman muutoksia.Tämän suoran silmukan takia tilojen kokonaismäärä on yhtä suuri kuin tiskillä olevien flip-floppien lukumäärä.Esimerkiksi, jos flip-floppeja on neljä, laskuri kiertää neljän tilan läpi.Jokaisella flip-flopilla on korkea ('1') yhdelle kellosyklille ja pysyy alhaisena ('0') lopun ajan, luomalla yksinkertaisen, toistuvan tilarekvenssin.

Johnson Counter: Toisaalta Johnson-laskuri tuo käänteisen palautteen viimeisen flip-flopin ulostulosta takaisin ensimmäisen tuloon.Tämä käännös antaa laskurille mahdollisuuden tuottaa enemmän tiloja kuin rengaslaskuri - kumoamisen numeron.Jokainen flip-flop kulkee kahden vaiheen läpi: Ensinnäkin siinä on korkea ('1') ja sitten matala ('0') ennen siirtymistä vastakkaiseen tilaan.Tämä tarkoittaa, että nelikulmainen Johnson-laskuri kiertää kahdeksan osavaltion läpi.Lisäksi tämä malli vähentää lähtötaajuutta, lähtötaajuus on puolet syöttökellosignaalin.

Rengaslaskurien käytön edut ja haittojen arviointi

Rengaslaskureilla on selkeät hyödyt ja haittoja, jotka vaikuttavat niiden soveltuvuuteen digitaalisten piirimalleissa.

Ammattilaiset

Yksinkertainen suunnittelu: Yksi rengaslaskurin päävahvuuksista on sen suoraviivainen rakenne.Toisin kuin muut laskurit, se ei vaadi lisäkomponentteja, kuten dekoodereita.Tämä yksinkertaisuus helpottaa ja kustannustehokkaampaa toteuttaa, etenkin järjestelmissä, jotka tarvitsevat peruskoodausta tai dekoodausta ilman monimutkaista laitteistoa.

Vähemmän komponentteja: Rengaslaskurin palautteen silmukan rakenne antaa sen toimia vähemmän komponentteilla verrattuna muihin laskurityyppeihin.Tämä osien väheneminen ei vain alenta kustannuksia, vaan lisää myös luotettavuutta, koska vähemmän komponentteja tarkoittaa vähemmän laitteistovaurion riskiä.

Haitat

Rajoitettu määrä valtioita: Renkaan laskurin tärkein rajoitus on, että valtioiden lukumäärä on suoraan sidottu flip-flops-lukumäärään.Jos tarvitset enemmän valtioita, sinun on lisättävä lisää flip-flopsia, mikä ei välttämättä ole käytännöllinen sovelluksissa, jotka vaativat suurempaa määrää valtioita.

Ei itsensä käynnistämistä: Rengaslaskurit eivät yleensä voi aloittaa mistään mielivaltaisesta tilasta.He tarvitsevat tietyn esiasetetun ehdon toiminnan aloittamiseksi, mikä voi olla haitta järjestelmissä, joissa etsitään joustavuutta ja nopeaa käynnistystä.Tämä tarkoittaa, että lisävaiheet tai komponentit voidaan tarvita sen varmistamiseksi, että laskuri alustaa oikein.

Moderni elektroniikan rengaslaskurien monipuoliset sovellukset

Rengaslaskureilla on avainasemassa erilaisissa digitaalisissa järjestelmissä yksinkertaisen, mutta tehokkaan syklisen toiminnan ansiosta.Heidän kykynsä liikkua kiinteän määrän tilojen läpi hallitussa sekvenssissä tekee niistä erittäin hyödyllisiä monilla sovelluksilla.

Frequency Counting and Digital Clocks

Kuva 7: Taajuuden laskenta ja digitaaliset kellot

Rengaslaskureita käytetään usein taajuuslaskureissa ja digitaalisissa kelloilla, koska ne voivat kiertää asetetun määrän tiloja, joilla on tarkkuus ja luotettavuus.Tämä tekee niistä ihanteellisia tehtäviin, jotka vaativat tarkkaa ajan tai taajuuden seurantaa, varmistaen vakaan ja ennustettavan toiminnan.

Timers

Kuva 8: Ajastimet

Ajoitussovelluksissa rengaslaskurit käytetään mittaamaan ja laukaisevat tiettyjä tapahtumia.Edistymällä valtioidensa kautta synkronoituna kellosignaalin kanssa, ne tarjoavat suoraviivaisen tavan hallita ajoitusta, varmistaen, että tapahtumat tapahtuvat oikeaan aikaan laskurin nykyisen tilan perusteella.

Finite-State Machines (FSM)

Kuva 9: ​​äärellisen tilan koneet (FSM)

Rengaslaskurit integroidaan yleisesti äärellisten tilan koneisiin, etenkin ympäristöissä, kuten ASIC (sovelluskohtainen integroitu piiri) ja FPGA (kenttäohjelmoitava porttiryhmä) -malli.Niiden ennustettavissa olevat valtionsiirtymät tekevät niistä ihanteellisia näiden järjestelmien toiminnan virtauksen hallitsemiseksi varmistaen, että jokainen valtion muutos käsitellään sujuvasti ja tarkasti.

Timing Signals

Kuva 10: Ajoitussignaalit

Rengaslaskurit ovat myös arvokkaita ajoitussignaalien tuottamiseksi, jotka ovat hyödyllisiä monimutkaisempien piirien toiminnan koordinoimiseksi.Tuottamalla nämä signaalit säännöllisellä, syklisellä tavalla, ne auttavat varmistamaan, että piirin eri osat pysyvät synkronoituina.

Pseudo-Random Number Generation

Kuva 11: Pseudo-satunnaisen numeron luominen

Salausjärjestelmissä rengaslaskureita käytetään pseudo-satunnaisten lukujen luomiseen, jotka ovat vaarallisia salausalgoritmeille.Taskurien kyky siirtyä tilojen läpi ennustettavasti samalla kun säilyttää satunnaisuuden tuotossa, tekee niistä hyödyllisiä tässä arkaluontoisessa sovelluksessa.

Circular Storage Management

Kuva 12: Pyöreä varastointihallinta

Muistijärjestelmissä rengaslaskurit auttavat hallitsemaan pyöreitä jonoja varmistaen, että tiedot tallennetaan ja haetaan tehokkaasti.Niiden syklinen luonne antaa heille mahdollisuuden käsitellä datan toistuvaa pyöräilyä hallitulla tavalla, mikä tekee niistä ihanteellisia puskurien ja muiden tallennusjärjestelmien hallintaan, jotka luottavat jatkuvaan tiedonkulkuun.

Johtopäätös

Rengaslaskurit edustavat lopullista, mutta monipuolista komponenttia digitaalisessa piirisuunnittelussa, jolle on ominaista niiden yksinkertainen rakenne ja tehokas toiminta monissa sovelluksissa.Huolimatta rajoituksistaan, kuten kiinteä määrä valtioita ja itsensä käynnistämiskyvyn puuttumista, rengaslaskurien yksinkertaisuus ja luotettavuus tekevät niistä tarvittavat nykyaikaisten digitaalisten järjestelmien suunnittelussa.






Usein kysyttyjä kysymyksiä [UKK]

1. Mitkä ovat Johnson -laskurin sovellukset?

Johnson -laskurit, jotka tunnetaan myös nimellä Twisted Ring Counters, käytetään pääasiassa digitaalisessa elektroniikassa viiveajastimien luomiseen ja symmetristen neliön aaltomuotojen luomiseen.Nämä laskurit löytävät käytännöllisiä sovelluksia digitaalisissa kellosekvensoinnissa, ohjausjärjestelmissä Divide-By-N-laskurina, joissa ne hallitsevat sekvenssioperaatioita, ja ajettaessa numeerisia näyttöjä, joissa ne tuottavat syklisesti binaariarvoja.Operaattorit luottavat usein Johnson-laskuriin yksinkertaisuudestaan ​​ja luotettavuudestaan ​​tuottaakseen suuren määrän tiloja, joissa on vähemmän flip-floppeja kuin muut laskurit.

2. Mikä on rengaslaskurin luokittelu?

Rengaslaskurit luokitellaan niiden operatiivisen synkronoinnin perusteella:

Synkroninen rengaslaskuri: Kaikkia flip-flopsia ohjaa yhteinen kellosignaali, mikä tekee siirtymistä samanaikaisesti kaikkien flip-flopsien välillä.

Asynkroninen (tai aaltoileva) rengaslaskuri: Yhden flip-flopin lähtöstä tulee seuraavan kellotulon, mikä johtaa peräkkäisiin siirtymiin, jotka rypistyvät laskurin läpi.

3. Kuinka käyttää rengaslaskuria?

Rengaslaskurin käyttö tehokkaasti:

Alustaminen: Aloita asettamalla kaikki flip-flops 0: een paitsi yksi, joka tulisi asettaa arvoon 1. Tämä asennus luo yhden '1', joka kiertää rengasta.

Kellotulo: Levitä kellopulssi.Jokaisella pulssilla '1' siirtyy flip-flopista toiseen järjestyksessä.

Tulosten seuranta: Jokaista flip-flop-lähtöä voidaan tarkkailla '1': n sijainnin seuraamiseksi piirissä, hyödyllinen ajoitukseen ja sekvenssin hallintaan

4. Onko rengaslaskuri asynkroninen vai synkroninen?

Rengaslaskurit voivat olla joko synkronisia tai asynkronisia, niiden suunnittelusta riippuen:

Synkroninen rengaslaskuri: Kaikki flip-flops vaihtavat tilaa samanaikaisesti kellosignaalin kanssa.

Asynkroninen rengaslaskuri: Flip-flops vaihtavat tilaa peräkkäin edellisen flip-flopin aktivoinnin jälkeen aiheuttaen aaltoilevan vaikutuksen.

5. Mitä eroa on rengaslaskurin ja Jones -laskurin välillä?

Renkaan laskurin ja Johnson -laskurin väliset keskeiset erot ovat:

Muistin käyttö: Rengaslaskuri N Flip-Flops -sovelluksella voi edustaa N-valtioita, kun taas Johnson-laskuri voi edustaa 2N-osavaltioita, mikä tekee Johnsonin laskureista tehokkaamman valtion edustamisen suhteen flip-flopia kohti.

Piirin monimutkaisuus: Johnson -laskurit ovat monimutkaisempia, koska ne vaativat ylimääräisiä johdotuksia ja asennuksia rengaslaskurien verrattuna.

Lähtö -aaltomuodot: Johnson -laskurit tuottavat monimutkaisemman joukon lähtö -aaltomuotoja, jotka voivat olla edullisia sovelluksissa, joissa vaaditaan yksityiskohtaisia ​​ajoituskuvioita, kuten aaltomuodon tuotannossa viestintäjärjestelmissä.

0 RFQ
Ostoskärry (0 Items)
Se on tyhjä.
Vertaa luettelo (0 Items)
Se on tyhjä.
Palaute

Palauttellasi on merkitystä!Allelco: ssä arvostamme käyttökokemusta ja pyrimme parantamaan sitä jatkuvasti.
Ole hyvä ja jaa kommenttisi kanssamme palautteen kautta, ja vastaamme nopeasti.
Kiitos, että valitsit Allelco.

Kohde
Sähköposti
Kommentit
Captcha
Vedä tai napsauta Voit ladata tiedoston
Lataa tiedosto
Tyypit: .xls, .xlsx, .doc, .docx, .jpg, .png ja .pdf.
Max -tiedoston koko: 10 Mt